CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 智力抢答器 VHDL

搜索资源列表

  1. zlqdq

    0下载:
  2. vhdl编写的智力抢答器程序,比较简单,仅供参考-vhdl prepared by the intelligence Responder procedure is relatively simple, for information purposes only
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2752
    • 提供者:george
  1. FPGA

    1下载:
  2. 基于VHDL语言 智力抢答器的设计 本人的课程设计
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:490205
    • 提供者:滕莹
  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. qiangdaqi

    0下载:
  2. 使用vhdl语言设计的一个四人参加的智力竞赛抢答计时器。当有某一参赛者首先按下抢答开关时,响应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于100s(显示为0—99),时间显示采用倒计时方式。当达到限定时间时,的发出声响以示警告。 -Using VHDL language design four people to participate in the quiz answer in the timer. When a participa
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:192263
    • 提供者:陈小龙
  1. zhiliqiangdaqi

    0下载:
  2. 可以供四组人员进行操作的智力抢答器,当一次抢答完成后可以复位继续进行抢答,当抢答成功时会显示号码并响铃-Be available for four groups of personnel to operate the intellectual Answer, and when after the completion of an Answer to Reset Answer to continue, when the Answer success will show number and rin
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:2764
    • 提供者:yaminsh11
  1. EDA

    1下载:
  2. 以前学EDA的时候做过的四个小程序,分别是24/12小时制数字钟、数字频率计、乐曲播放电路、多人智力竞赛抢答器-EDA previously done when the four small procedures are 24/12 hour digital clock, digital frequency meter, circuit music players and many more devices quiz Answer
  3. 所属分类:Other systems

    • 发布日期:2017-03-25
    • 文件大小:461667
    • 提供者:王宇
  1. qda

    1下载:
  2. 三路智力竞赛抢答器,利用VHDL设计抢答器的各个模块,并使用EDA 工具对各模块进行仿真验证。智力竞赛抢答器的设计分为四个模块:鉴别锁存模块;答题计时模块;抢答计分模块以及扫描显示模块。把各个模块整合后,通过电路的输入输出对应关系连接起来。设计成一个有如下功能的抢答器: (1)具有第一抢答信号的鉴别锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,数码管显示出抢答者的组别。同时电路处于自锁状态,使其他组的抢答器按钮不起作用。 (2)具有计分功能。在初始状态时,主持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234821
    • 提供者:menglj
  1. design_and_analysis_of_the_Intelligence_Responder.

    0下载:
  2. 智力抢答器设计与vhdl代码实现 系统仿真/硬件验证 -design_and_analysis_of_the_Intelligence
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:508234
    • 提供者:钱阔
  1. qiangda

    1下载:
  2. EDA课程设计智力抢答器 四路抢答器的设计以及程序和视屏 软件运行环境是:Quartus 9.1-EDA curriculum design intelligence Responder four answering device design and process and Screen software operating environment is:Quartus 9.1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9161812
    • 提供者:shaozhen
  1. EDA

    0下载:
  2. 基于EDA技术的八路智力抢答器的设计,原理图和VHDL程序-based on EDA technology, intellectual Responderbased on EDA technology, intellectual answering device design, process schematics and VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:384572
    • 提供者:何乐
  1. siluqiangdaqi

    0下载:
  2. 通过VHDL程序设计一个4人参加的智力竞赛抢答计时器,当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响,此时抢答器不再接受其他输入信号。 电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示为0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 -VHDL programming by a 4 quiz participants answer in timer, when a participant first press the answe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6132
    • 提供者:longking
  1. jifenqi

    0下载:
  2. 基于vhdl的智力抢答器的程序设计,功能包括抢答 积分 减分 亮灯 等-Responder based on intelligence vhdl program design features include the answer in points by sub-light, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:43139
    • 提供者:tulufan
  1. myqdq

    0下载:
  2. 该项目实现了一个四路的智力抢答器的基本功能,像抢答鉴别,计时,计分等。-A responder that is realized in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:221260
    • 提供者:郭郭
  1. Four-quiz-Responder

    0下载:
  2. 运用VHDL语言实现四路智力竞赛抢答器。抢答器的主要功能模块是是:1、对第一抢答信号的鉴别和锁存功能;2、计分功能。3、数码显示  ;4、答题限时功能。在本设计主要讲述抢答、计分和警告的功能。-Using VHDL language quiz four Responder.Responder main function modules are: 1, for the first answer to identify and latch signal 2, scoring functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:263619
    • 提供者:YCZ
  1. 3_first_event_detector

    0下载:
  2. 本代码实现智力抢答器的功能,采用VHDL语言。全部实现过程全在文件里面,结构清晰,思想明了。-This code realization of intelligence responder function, using VHDL language. The whole implementation process full in files, clear structure, clear thinking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:566916
    • 提供者:张天健
  1. ZLQD_ppt

    0下载:
  2. 智力抢答器课件,基于VHDL,适合初学者,按步骤详解-Intelligence Responder courseware, based on VHDL, suitable for beginners, step by step Detailed
  3. 所属分类:software engineering

    • 发布日期:2017-05-06
    • 文件大小:956464
    • 提供者:Leo
搜珍网 www.dssz.com